Difference between revisions of "CAD"

From GhostBSD Wiki
Jump to: navigation, search
Line 358: Line 358:
 
|[[https://svnweb.freebsd.org/ports/head/cad/tochnog/pkg-descr?revision=HEAD]] [[http://tochnog.sourceforge.net/]]
 
|[[https://svnweb.freebsd.org/ports/head/cad/tochnog/pkg-descr?revision=HEAD]] [[http://tochnog.sourceforge.net/]]
 
|-
 
|-
|
+
|transcalc
|[[]] [[]]
+
|Analysis and synthesis tool for RF and microwave transmission lines
 +
|[[https://svnweb.freebsd.org/ports/head/cad/transcalc/pkg-descr?revision=HEAD]] [[http://transcalc.sourceforge.net/]]
 
|-
 
|-
|
+
|trenchbroom
|[[]] [[]]
+
|Cross-platform level editor for Quake-engine based games
 +
|[[https://svnweb.freebsd.org/ports/head/games/trenchbroom/pkg-descr?revision=HEAD]] [[http://kristianduske.com/trenchbroom/]]
 
|-
 
|-
|
+
|varkon
|[[]] [[]]
+
|Free CAD system and applications development tool
 +
|[[https://svnweb.freebsd.org/ports/head/cad/varkon/pkg-descr?revision=HEAD]] [[http://varkon.sourceforge.net/]]
 
|-
 
|-
|
+
|veditor
|[[]] [[]]
+
|Verilog and VHDL editor plugin for eclipse
 +
|[[https://svnweb.freebsd.org/ports/head/java/veditor/pkg-descr?revision=HEAD]] [[http://veditor.sourceforge.net/]]
 
|-
 
|-
|
+
|verilator
|[[]] [[]]
+
|Synthesizable Verilog to C++ compiler
 +
|[[https://svnweb.freebsd.org/ports/head/cad/verilator/pkg-descr?revision=HEAD]] [[https://www.veripool.org/projects/verilator/wiki/Intro]]
 
|-
 
|-
|
+
|xcircuit
|[[]] [[]]
+
|X11 circuit schematics drawing program
 +
|[[http://opencircuitdesign.com/xcircuit/]]
 
|-
 
|-
|
+
|yosys
|[[]] [[]]
+
|Yosys Open SYnthesis Suite
 +
|[[https://svnweb.freebsd.org/ports/head/cad/yosys/pkg-descr?revision=HEAD]] [[http://www.clifford.at/yosys/]]
 
|-
 
|-
|
+
|z88
|[[]] [[]]
+
|Compact Finite Element Analysis System
 +
|[[https://svnweb.freebsd.org/ports/head/cad/z88/pkg-descr?revision=HEAD]] [[http://www.z88.org/]]
 
|-
 
|-
|
+
|zcad-gtk2
|[[]] [[]]
+
|Simple CAD program
 +
|[[https://svnweb.freebsd.org/ports/head/cad/zcad/pkg-descr?revision=HEAD]] [[https://sourceforge.net/projects/zcad/]]
 
|-
 
|-
|
+
|zcad-qt5
|[[]] [[]]
+
|Simple CAD program
 +
|[[https://svnweb.freebsd.org/ports/head/cad/zcad/pkg-descr?revision=HEAD]] [[https://sourceforge.net/projects/zcad/]]
 
|-
 
|-
 
!colspan="3"|
 
!colspan="3"|

Revision as of 11:51, 14 January 2020

Welcome to theIcon Disti GhostBSD.png CAD
App/Package Abstract Addition or Link
CalculiX-ccx Three-Dimensional Structural Finite Element Program [[1]] [[2]]
CuraEngine Engine of slicing solution for RepRap 3D printers [[3]] [[4]]
FreeCAD General purpose 3D CAD modeller [[5]] [[6]]
NASTRAN NASA Structural Analysis System [[7]] [[8]]
abc System for sequential synthesis and verification [[9]] [[10]]
admesh Program for processing STL triangulated solid meshes [[11]] [[12]]
adms Model generator for SPICE simulators [[13]] [[14]]
alliance Complete set of CAD tools and libraries for VLSI design [[15]] [[16]]
astk-client Graphical interface for Code_Aster (client side) [[17]] [[18]]
astk-serveur Graphical interface for Code_Aster (server side) [[19]] [[20]]
atlc Tool to calculate the impedance of transmission lines [[21]] [[22]]
basicdsp Program for experimenting with simple audio DSP algorithms [[23]] [[24]]
brlcad CSG modelling system from the US Ballistic Research Laboratory [[25]] [[26]]
calculix Three-Dimensional Structural Finite Element Program [[27]] [[28]]
caneda EDA software suite focused on ease of use and portability [[29]] [[30]]
cascade Simple tool to analyze noise and distortion of a RF system [[31]] [[32]]
cascade-compiler Just-In-Time Compiler for Verilog from VMware Research [[33]] [[34]]
chipvault Project organizer for VHDL and Verilog RTL hardware designs [[35]] [[36]]
digital Digital logic designer and circuit simulator [[37]] [[38]]
dinotrace-emacs26 Graphical signal trace waveform viewer [[39]] [[40]]
dinotrace-emacs26_canna Graphical signal trace waveform viewer [[41]] [[42]]
dinotrace-emacs26_nox Graphical signal trace waveform viewer [[43]] [[44]]
dinotrace-emacs28 Graphical signal trace waveform viewer [[45]] [[46]]
dinotrace-emacs28_nox Graphical signal trace waveform viewer [[47]] [[48]]
electric Sophisticated electrical CAD system [[49]] [[50]]
electric-ng Sophisticated VLSI design system [[51]] [[52]]
elmerfem Finite element software for multiphysical problems [[53]] [[54]]
fasm-fpga FPGA assembly (FASM) parser and generator [[55]] [[56]]
fastcap Three-dimensional capacitance extraction program [[57]] [[58]]
fasthenry Multipole-accelerated inductance analysis program [[59]] [[60]]
feappv Finite element analysis program (personal version) [[61]] [[62]]
fidocadj Easy to use graphical editor for electronics [[63]] [[64]]
fr-aster Code_Aster finite element method solver [[65]] [[66]]
fr-homard- Refinement and de-refinement mesh tool [[67]] [[68]]
freehdl Free VHDL simulator [[69]] [[70]]
fritzing CAD for printed circuit boards [[71]] [[72]]
geda GPL Electronic Design Automation tools [[73]] [[74]]
gerbv Gerber file viewer [[75]] [[76]]
ghdl GNU VHDL simulator [[77]] [[78]]
gmsh Automatic 3D finite element mesh generator [[79]] [[80]]
gspiceui GUI for ngspice and gnucap circuit simulators [[81]] [[82]]
gtkradiant Map editor for FPS games, by id Software and Loki Software [[83]] [[84]]
gtkwave Electronic Waveform Viewer [[85]] [[86]]
impact Dynamic Finite Element Program Suite [[87]] [[88]]
k40-whisperer GUI control software for the stock K40 laser cutter controller [[89]] [[90]]
kicad Schematic and PCB editing software [[91]] [[92]]
kicad-doc KiCad Documentation and Help Files [[93]]
kicad-library-footprints Official KiCad Footprint Libraries [[94]]
kicad-library-packages3d Official KiCad 3D model libraries for rendering and MCAD integration [[95]]
kicad-library-symbols Official KiCad schematic symbol libraries [[96]]
kicad-library-tmpl Official KiCad project templates [[97]]
klayout Qt-based GDS2 Viewer [[98]]
ktechlab IDE for microcontrollers and electronics [[99]] [[100]]
ldraw LDraw-format CAD files representing many of LEGO bricks produced [[101]] [[102]]
leocad CAD program for creating virtual LEGO models [[103]] [[104]]
lepton-eda Lepton Electronic Design Automation [[105]] [[106]]
libarea CAM-related software for profile and pocketing operations [[107]] [[108]]
libopencad Library which provides a way to read/write CAD (DWG/DXF/DXFB) files [[109]] [[110]]
librecad 2D CAD system [[111]] [[112]]
librepcb Schematic and PCB editing software [[113]] [[114]]
logisim Educational tool for designing and simulating logic circuits [[115]] [[116]]
netgen Automatic 3D tetrahedral mesh generator [[117]] [[118]]
ngspice_rework Mixed-signal circuit simulator derived from Spice and Cider [[119]] [[120]]
ngspice_rework-shlib Mixed-signal circuit simulator derived from Spice and Cider [[121]] [[122]]
nvc VHDL compiler and simulator [[123]] [[124]]
opencascade Open CASCADE Technology, 3D modeling & numerical simulation [[125]] [[126]]
openscad Programmer's solid 3D CAD modeller [[127]] [[128]]
openvsp Create a 3D model of an aircraft defined by engineering parameters [[129]] [[130]]
oregano Schematic capture and circuit simulator [[131]] [[132]]
p5-GDS2 GDS2 stream module [[133]] [[134]]
pcb X11 interactive printed circuit board layout system [[135]] [[136]]
pdnmesh Mesh generator and solver for Finite Element problems [[137]] [[138]]
processing Programming environment for images, animation, and interactions [[139]] http://processing.org/
pulseview GUI client that supports various hardware logic analyzers [[140]] [[141]]
py27-pycam Toolpath generator for 3-axis CNC machining [[142]] [[143]]
py37-pyfda GUI tool for designing and analysing discrete time filters [[144]] [[145]]
pythoncad Open-source CAD package built designed around Python [[146]] [[147]]
qcad Professional CAD system [[148]] [[149]]
qelectrotech QElectroTech is application to design electric diagrams [[150]] [[151]]
repsnapper Controller and GCode generator for RepRap 3D printers [[152]] [[153]]
scilab Scientific software package for numerical computations [[154]] [[155]]
scotch Package for graph and mesh partitioning and sparse matrix ordering [[156]] [[157]]
sigrok-cli Framework for hardware logic analyzers, CLI client [[158]] [[159]]
solvespace Parametric 2d/3d CAD [[160]] [[161]]
sumo Traffic simulation suite for road vehicles, public transportation, etc [[162]] [[163]]
sweethome3d Free interior design application [[164]] [[165]]
tochnog Free explicit/implicit Finite Element Program [[166]] [[167]]
transcalc Analysis and synthesis tool for RF and microwave transmission lines [[168]] [[169]]
trenchbroom Cross-platform level editor for Quake-engine based games [[170]] [[171]]
varkon Free CAD system and applications development tool [[172]] [[173]]
veditor Verilog and VHDL editor plugin for eclipse [[174]] [[175]]
verilator Synthesizable Verilog to C++ compiler [[176]] [[177]]
xcircuit X11 circuit schematics drawing program [[178]]
yosys Yosys Open SYnthesis Suite [[179]] [[180]]
z88 Compact Finite Element Analysis System [[181]] [[182]]
zcad-gtk2 Simple CAD program [[183]] [[184]]
zcad-qt5 Simple CAD program [[185]] [[186]]
If you don't find a package, you are looking for,
we recommend to search the
Icon FreeBSD.pngPorts Collection.
Back to the Icon Disti GhostBSD.pngOffice Applications